Добавить в избранное
Форум
Правила сайта "Мир Книг"
Группа в Вконтакте
Подписка на книги
Правообладателям
Найти книгу:
Навигация
Вход на сайт
Регистрация



Реклама



Математические модели и методы синтеза в сверхбольших интегральных схемах

Название: Математические модели и методы синтеза в сверхбольших интегральных схемах
Автор: Червяков Н. И., Галушкин А. И., Бабенко М. Г., Кучуков В. А.
Издательство: изд-во СКФУ
Год: 2016
Формат: pdf
Страниц: 187
Для сайта: Mirknig.su
Размер: 5,4Mb
Язык: русский

Пособие подготовлено в соответствии с ФГОС ВО, состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов

Скачать с Turbobit







НЕ РАБОТАЕТ TURBOBIT.NET? ЕСТЬ РЕШЕНИЕ, ЖМИ СЮДА!





Автор: mihail1000 7-02-2019, 05:56 | Напечатать |
 
Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.





С этой публикацией часто скачивают:

Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.


 MirKnig.Su  ©2021     При использовании материалов библиотеки обязательна обратная активная ссылка    Политика конфиденциальности